DEAD MAN CODING

FOOOLING.COM

LINUX下 编译VERILOG的方法

Oct. 6, 2012, 12:02 p.m.

想起来写下博客。

常听人说


Windows 能做而Linux不能做的事,就是不需要做的事


作为一个verilog的入门者,我需要去安装一个叫Modelsim 的货来写verilog。由于经常使用linux,本能地想看看linux能否写verilog。然后touch了一个test.v 使用vim打入module  发现自动高亮了。于是找到了iverilog和gtkwave这种小巧的开源软件。

简单说下这两个软件

iverilog  用来编译verilog  算是相当好的编译器  ubuntu有包,源码自行谷歌

gtkwave  适用于桌面版linux 可以显示VCD波形  下载同上

iverilog命令类似gcc


iverilog -o file module.v testbench.v 

这条命令在module.v中使用testbench.v 编译产生可执行文件file

然后

vvp -n file -lxt2

可生成vcd波形文件

最后用gtkwave打开即可看到波形了

友情链接